Senin, 25 Oktober 2010

Library VHDL

Dalam bahasa pemrograman vhdl dikenal pula paket library/pustaka yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya untuk digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, diantaranya :

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

Tugas FPGA : Program Multiplexer

Multiplexer berfungsi untuk memilih output dari beberapa input berdasarkan input pada kaki selectornya. Multiplexer memiliki rangkaian logika sebagai berikut :



Dalam tulisan kali ini akan dicontohkan kode program vhdl untuk membuat multiplexer dengan 4 input, 2 selector, dan 1 output. Multiplexer diatas memiliki tabel logika :

==========
= s1 = s2 = Y =
==========
= 0 = 0 = a =
= 0 = 1 = b =
= 1 = 0 = c =
= 1 = 1 = d =
===========


Pertama-tama definisikan entitas multiplexer dengan nama "kepletex" :

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;


Lalu definisikan arsitektur "mux_arch" dari entity "kepletex" :

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;


Arsitektur berfungsi untuk menerangkan bagaimana entity "kepletex" bekerja. Namun kode diatas hanyalah berupa template, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu kita tambahkan entity "sinyal" dan arsitektur "sinyal_arch" yang berfungsi untuk memberikan input pada entity "kepletex".

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;


Semua kode vhdl diatas digabung menjadi satu menjadi :

library ieee;
use ieee.std_logic_1164.all;

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan --
-- entity yang telah didefinisikan diatas --

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity sinyal port map(in1,in2,in3,in4,select1,select2);
w2: entity kepletex port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;

Tugas Bahasa Indonesia 1

Kata baku – Kata tidak baku

apotek - apotik

atlet - atlit

bus - bis

cenderamata - cinderamata

konkret - konkrit-kongkrit

sistem - sistim

telepon - tilpon-telpon

pertanggungjawaban - pertanggung jawaban

utang - hutang

pelanggan - langganan

hakikat - hakekat

kaidah - kaedah

dipersilakan - dipersilahkan

anggota - anggauta

pihak - fihak

disahkan - disyahkan

lesung pipi - lesung pipit

mengubah - merubah

mengesampingkan- mengenyampingkan

kualitas - kwalitas

universitas - university

teater - theatre

struktur - structure

monarki - monarkhi

devaluasi - defaluasi

abstrak - abstrac

akomodasi - akomodir

legalisiasi - legalisir

diagnosis -diadnosa

hipotesis -hipotesa

kultur - culture

deputi - deputy

sekuritas - Security

aktivitas - aktifitas

relatif - relative

repertoar - repertoire

teknologi - tekhnologi; technologi

elektronik - electronik

direktur - director

konduite - kondite

akuarium - aquarium

kongres - konggres

hierarki - hirarkhi

aksi - action

psikiatri - psychiatry
grup
- group

rute - route

institut - institute

aki - accu

taksi - taxi

sekadar - sekedar

memesona - mempesona

imbau - himbau

berpikir - berfikir

nasihat - nasehat

terempas - terhempas

pukul 19.30 WIB - jam 19.30 WIB

standardisasi - standarisasi

objek - obyek

sportivitas - sportifitas

sportif - sportip

aktivitas - aktifitas

aktif - aktip

pengkreditan - pengreditan

mengkreditkan - mengreditkan

antarnegara - antar negara

pascapanen - pasca panen

dasawisma - dasa wisma

pancaroba - panca roba